تمامی فایل های موجود در فرافایل، توسط کاربران عرضه می شود. لطفاً اگر از فایل خریداری شده رضایت ندارید، تخلفی مشاهده کردید یا مالک فایلی هستید که بدون اطلاع شما در سایت قرار گرفته، به ما پیام دهید.
توضیحات:
کد VHDL ضرب کننده 4 بیتی به همراه فایل تست. این فایل کد VHDL ضرب کننده 4 بیتی با استفاده از جمع کننده های یک بیتی است.

این برنامه کد VHDL یک ضرب کننده است که با استفاده از and و جمع کننده کامل تک بیتی عمل ضرب را میان دو عدد 4 بیتی انجام می دهد و نتیجه 8 بیتی به خروجی داده می شود.
راهنمای استفاده

ابتدا پروژه ای در نرم افزار ISE بسازید و سپس فایل های دانلود شده را به آن اضافه کنید.

محتوای فایل دانلودی

برنامه VHDL جمع کننده تک بیتی
برنامه VHDL ضرب کننده 4 بیتی
برنامه تست

  1. حمید 1400/03/14

    سلام
    این پروژه ضرب ۴ بیتی نیست ، پروژه فول اَدِر هست ، درستش کنید .


ارتباط با ما
  • موبایل۰۹۳۹۶۰۳۹۴۷۹ (ساعت 17 الی 20)
  • پیامک۱۰۰۰۸۳۴۶۵۲۷۹۶۶
  • ایمیلinfo[@]farafile.ir
ارسال پیام

مجوز و گواهینامه ها

تمامـی كالاهـا و خدمـات ايـن فروشـگاه، حسـب مـورد دارای مجوزهــای لازم از مراجـع مربوطـه می‌باشـند و فعاليت‌هــای ايـن سـايت تابـع قوانيـن و مقـررات جمهـوری اسـلامی ايـران است.


فــرافــایل، مرجع خرید و فروش اینترنتی انواع فایل های قابل دانلود؛ پاورپوینت، تحقیق، پرسشنامه، ترجمه مقاله و ...